4 research outputs found

    GALS for Bursty Data Transfer based on Clock Coupling

    Get PDF
    In this paper we introduce a novel burst-mode GALS technique. The goal of this technique is improving the performance of the GALS approach for systems with predominantly bursty data transfer. This new technique has been used to implement a GALS-based version of a hardware accelerator of a 60 GHz OFDM baseband processor. The simulation results show a significant performance improvement in comparison with a classical implementation of GALS using pausible clocking. © 2009 Elsevier B.V. All rights reserved

    GALS for Bursty Data Transfer based on Clock Coupling

    Get PDF
    In this paper we introduce a novel burst-mode GALS technique. The goal of this technique is improving the performance of the GALS approach for systems with predominantly bursty data transfer. This new technique has been used to implement a GALS-based version of a hardware accelerator of a 60 GHz OFDM baseband processor. The simulation results show a significant performance improvement in comparison with a classical implementation of GALS using pausible clocking. © 2009 Elsevier B.V. All rights reserved
    corecore